Following steps must be followed to run the testbench ( in Linux )
S.No Description
1 Download the UVM Testbench Code files from this website ( if you can't download, then atleast copy the code and name the file as per the filename mentioned in the code )
2 Set the UVM_HOME environment path to point to the uvm1.1d location. Usually, you will find this in the installed area of the respective simulator.
3 In the same path where you have all the UVM TB files, run make all , provided you have also copied the Makefile provided in the previous section.